CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog 状态机

搜索资源列表

  1. state-machine

    0下载:
  2. 状态机,独热码实验,简单的Verilog语言设计For NJU,简单易行-State machine, one-hot code experiment, a simple Verilog language design For NJU, simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:579894
    • 提供者:戴连鹏
  1. AD574_1

    0下载:
  2. verilog实现的“状态机实现AD574数模转换”-verilog to achieve a " state machine to achieve AD574 digital-analog conversion"
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:917
    • 提供者:王先生
  1. state-machine-design

    0下载:
  2. 状态机设计的苦干个不错的例子,VHDL语言编写,相信会对verilog的学习者有帮助-State machine design a good example of hard work, VHDL language.Ithink it will help verilog learners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:189513
    • 提供者:王建伟
  1. ketflink_fsm

    0下载:
  2. VERILOG的按键去抖,采用状态机的实现方法-VERILOG shaking the keys to using a state machine implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1381
    • 提供者:雍振强
  1. softdrink_testbench

    0下载:
  2. 一种可应用于自动售货机的状态机的verilog HDL描述-Verilog HDL descr iption of a state machine used in vending machines
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-07
    • 文件大小:1073
    • 提供者:pppp
  1. howwite_status_machine_with_Verilog

    0下载:
  2. 如何用verilog语言写好状态机的不错的文档,希望对大家有所帮助-How to use Verilog state machine language to write good documentation, I hope all of you to help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:294692
    • 提供者:delnabla
  1. jiaotongdeng

    0下载:
  2. 这程序是利用状态机来控制交通灯verilog码-This procedure is the use of state machine to control the traffic lights verilog code
  3. 所属分类:Other systems

    • 发布日期:2017-05-03
    • 文件大小:572529
    • 提供者:zeal
  1. Heilbronn_Visit_Design

    0下载:
  2. 海尔布伦 访问状态机 设计 用FSM方式 verilog HDL 语言描述-Heilbronn Visit Design Digital Combination Lock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3950
    • 提供者:吴德昊
  1. c73a2ceb-09a5-4366-83ea-78b08c6200eb

    0下载:
  2. jtag TAP控制状态机代码 verilog VHDL-jtag TAP state machine code
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:1738
    • 提供者:张涛
  1. statemachine

    0下载:
  2. 用verilog HDL实现状态机的设计-Verilog HDL make the state machine come true
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:113690
    • 提供者:华钦
  1. seqdet

    0下载:
  2. Verilog编写的有限状态机的程序,实现对一二进制序列的检测,该有限状态机提供8个状态的,可以任意修改,作为测试。-Verilog written procedures for finite state machines to achieve the detection of a binary sequence, the finite state machine with 8 states, and can be freely modified, as a test.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6806
    • 提供者:大安
  1. EfficientSynthesizableFiniteStateMachineDesignusin

    0下载:
  2. 高效的同步有限状态机的设计,本代码详细的说明了如何设计高效和规范的fsm设计-Efficient Synthesizable Finite State Machine Design using NC-Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:100353
    • 提供者:terry
  1. StateMachine-based

    0下载:
  2. FPGA上的利用状态机实现的分频的verilog程序-verilog source code StateMachine-based for FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:588
    • 提供者:pei fu-jun
  1. div_res

    0下载:
  2. 这是一个用VERILOG实现的除法的指令,用状态机实现的,希望对大家有用-THIS IS A CODE FOR DIV OF VERILOG。ITS USEFUL...
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:853
    • 提供者:tom
  1. verilog_instance

    0下载:
  2. 20多个十分实用的verilog例子,如状态机,除法器等-More than 20 very practical verilog examples, such as state machines, divider, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:92769
    • 提供者:angelammo
  1. serial_in

    0下载:
  2. verilog 串并转换程序 状态机 有4位前导码 共转换3位 可自己修改后转换更多的串行数据位-Verilog serial signal to parallel signal transfer
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:750
    • 提供者:will zhang
  1. FSM

    0下载:
  2. 这是用verilog硬件描述语言编的moore状态机代码-It is compiled verilog hardware descr iption language moore state machine code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:607
    • 提供者:李松
  1. floatmul

    0下载:
  2. 用verilog实现三十二位浮点数算法,通过状态机的方法实现。-32 floating-point implementation using verilog algorithm, the method adopted by the state machine implementation.
  3. 所属分类:File Formats

    • 发布日期:2017-04-05
    • 文件大小:788
    • 提供者:尹小怡
  1. Advanced_Verilog_Design

    0下载:
  2. 以Lattice 器伴为例,描述如何在Verilog中指定管脚属饪功能(OE,RESET,IO CELL寄存器,双向IO,Latch IO,管脚Pin number, synthesis属性,输出电气规格...),状态机的使用,及其它Verilog进阶功能-With Lattice devices for example, it describes how to specify the pin function in Verilog (OE, RESET, IO CELL register, b
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:127413
    • 提供者:Tim
  1. example

    0下载:
  2. 我FPGA开发板的程序!!!包括数、码管iic、VGA、乘法器、串口。加法器、比较器、状态机等等等了,主要是VHDL的也有部分好似Verilog的。参考下吧-verilog...vga..uart...add...etc..
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6266775
    • 提供者:地主
« 1 2 3 4 5 6 78 9 10 11 12 13 14 »
搜珍网 www.dssz.com